重点:
新思科技为博通提供了优化的7纳米设计流程和方法,使其能基于Fusion Design Platform进行大批量生产设计
一流的数字实现工具,包括Fusion Compiler、IC Compiler II、Design Compiler NXT、PrimeTime和StarRC系列产品,为5纳米芯片差异化提供了新的机会
新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布与博通(Broadcom Inc.)扩展合作,助力博通基于Fusion Design Platform™开发半导体解决方案,以解决7纳米及7纳米以下的一系列设计难题。
在7纳米设计多个成功经验的基础上,博通与新思科技进一步合作,部署了包括基于Fusion Design Platform进行的5纳米芯片设计。博通通过整合新思科技的工具、流程和方法,从最新的芯片工艺产品中获得最大的收益,并有效地为客户提供价值。
博通中心工程副总裁兼负责人Yuan Xing Lee表示:“博通很高兴与新思科技在7纳米和5纳米芯片设计上展开合作,并与新思科技继续共同努力,利用Fusion Design Platform交付大批量生产设计。作为全球基础设施技术的领导者,博通不断追求卓越创新,致力于提供高度差异化的产品,助力客户在各自的市场中脱颖而出。”
Fusion Design Platform旨在帮助设计团队以最收敛的方式实现最佳的功耗、性能和面积(PPA),来确保最快和最可预测的成果时间(TTR)。Fusion Design Platform跨越了测试插入和优化、RTL综合、布局布线以及设计的收敛和signoff,是一种高度融合的解决方案。Fusion Design Platform使可预测PPA达到了新的水平,从而解决了业界芯片设计的固有挑战。
新思科技芯片设计事业部总经理Sassine Ghazi表示:“与合作伙伴紧密协作是确保客户从最新的芯片工艺中获取最大权益和价值的关键。新思科技与博通是长期的合作关系、我们将共同维护双方的成功愿景,提升各自在交付差异化价值方面的执行力,以确保双方能共同提供一流的技术、产品。”
新思科技Fusion Design Platform的主要产品和功能包括:
Fusion Compiler™RTL-to-GDSII解决方案:高度优化的全流支持,提供最佳设计可布线性和收敛以及最短的获得结果的时间 (TTR)
IC Compiler™II布局和布线:EUV单曝光布线,提供优化的5LPE设计规则支持、单鳍单元多样化感知摆放合法化(single fin variant-aware legalization),以及过孔装订(via stapling),确保获得最大的利用率和最小的动态功耗
Design Compiler®NXT RTL综合:结果的相关一致性、布线拥塞减少、感知引脚访问的优化、5LPE设计规则支持以及提供给IC Compiler II的物理指导
PrimeTime®时序signoff:近阈值超低电压变异建模,过孔变异建模以及感知布局规则的工程变更指令(ECO)指南
StarRC™寄生参数提取:支持基于EUV单曝光的布线,以及新的提取技术,如基于覆盖的过孔电阻和垂直栅极电阻建模
新思科技简介
新思科技(Synopsys, Inc. , 纳斯达克股票代码:SNPS)是众多创新型公司的 Silicon to Software™(“芯片到软件”)合作伙伴,这些公司致力于开发我们日常所依赖的电子产品和软件应用。作为全球第 15 大软件公司,新思科技长期以来一直是电子设计自动化(EDA)和半导体IP领域的全球领导者,并且在软件安全和质量解决方案方面也发挥着越来越大的领导作用。无论您是创建高级半导体的片上系统(SoC)设计人员,还是编写需要最高安全性和质量的应用程序的软件开发人员,新思科技都能够提供您所需要的解决方案,帮助您推出创新性的、高质量的、安全的产品。有关更多信息,请访问www.synopsys.com。