欢迎访问江南电竞入口安卓版
三星、英特尔都在“觊觎”晶圆代工大市场,这一先进工艺是反超关键?
2023-05-09 来源:全球半导体观察
883

关键词:三星英特尔晶圆

近日,在韩国科学技术院(KAIST)的演讲中,三星电子芯片业务负责人Kyung Kye-hyun表示,三星将在五年内超越竞争对手台积电,在芯片代工领域处于领先地位。除了三星,重回代工领域的英特尔也放言,在2030年之前成为该市场的第二大玩家。代工市场硝烟起,市场地貌又将如何重塑?

三星放言:5年内超越台积电

Kyung Kye-hyun表示,当下台积电在芯片制造方面远远领先于三星。他认为三星需要五年时间才能赶上并超过台积电,尽管两家公司目前都在生产3nm半导体,这些技术的营销名称可能相似,但它们在设计上完全不同。具体来看,三星使用的是最新的GAA技术制造晶体管,而台积电则依赖成熟的FinFET。

Kyung Kye-hyun补充,使用GAA至关重要,或成为三星弯道超车的关键。目前三星4nm技术目前比台积电落后约两年,3nm技术落后约一年。但当台积电转向2nm时,这种情况将会改变”。业界消息显示,台积电计划在2nm制程时使用GAA技术。Kyung Kye-hyun认为三星将有机会迎头赶上,因为台积电预计将因新技术而变得更加困难。



三星发言人强调,“客户对三星的3nm GAA工艺赞不绝口”,Kyung Kye-hyun表示,“我不能说出任何名字,但几乎所有知名公司现在都在与我们合作。”与此同时,Kyung Kye-hyun指出,三星也在努力提高其芯片封装技术,以保持领先于竞争对手。

此外,近期业界人士透露,AMD已将部分4nmCPU芯片订单从台积电转移到三星。据悉,AMD已与三星电子签署协议,或利用三星的4nm节点来制造其部分移动SoC,该消息人士进一步指出,三星或将制造AMD的Chromebook APU。公开资料显示,代号“凤凰”的锐龙7040系列处理器是AMD低功耗笔记本处理器,后缀为U,TDP为15-28W。这些芯片最初定于3月发布,但尚未进入零售市场,暂定发布日期为2023年5月。

台积电、三星激战2nm

尽管量产2nm芯片依然还需时日,但此时此刻,台积电、三星电子两家芯片大厂不约而同的寻求下一代EUV光刻机,意味着现在“2nm技术战”已经打响。

“到了未来的技术节点,间距微缩将减缓,硅晶体管似乎只能安全地微缩至2nm,而在那之后,我们可能就会开始使用石墨烯。”芯片制造的核心软件EDA巨头新思科技(Synopsys)研究专家Victor Moroz的这句话道出了2nm技术的重要性:2nm是硅芯片的最后一战。

当投入百亿,甚至是千亿美元,如今这场“2nm技术战”中,台积电和三星电子两家公司分别在晶体管结构、光刻、材料、封装等进行核心技术创新竞争。

首先是新的晶体管结构。

台积电2nm采用纳米片晶体管(GAAFET)结构,相比目前5nm鳍式场效应晶体管(FinFET)架构,GAAFET能更好控制漏电,且性能提升10%-15%,功耗却降低25%-30%。

实际上,芯片内部的场效应晶体管,分别包括源极(Source)、漏极(Drain)和栅极(Gate)三部分。随着芯片越做越精密,塞下的晶体管越来越多,栅极越来越细,导致电流就容易“漏出”。

为了解决该问题,科研人员研发出FinFET工艺,增加栅极的接触面积,减少电流漏电事件,同时芯片性能也能得到提升——类似“裤腰带”变成“带扣皮带”的方案。

而2nm使用的新的GAAFET结构,则是将栅极和漏极彻底包裹住,更好地控制漏电电流。

相比台积电,三星更胜一筹,决定在本周开始量产的3nm上,使用GAAFET结构,比台积电提前三年。而且,三星和IBM还分别推出了纳米片MBCFET、垂直晶体管VTFET两种结构,后者提供2倍的FinFET性能,功耗减少85%。不过MBCFET和VTFET目前没有量产迹象。

其次是新的光刻机设备。

工欲善其事,必先利其器。

阿斯麦(ASML)最新研发的高数值孔径极紫外光(high-NA EUV)光刻机,是2nm工艺的关键工具,成为三星、台积电争夺的焦点。



光刻机被誉为“皇冠上的明珠”,其利用特殊的光源和玻璃,将晶体管和设计好的电路图投射到硅芯片,来绘制芯片电路,其大小相当于一辆公交车,一家先进芯片工厂通常需要9~18台这样的设备。

芯片制造离不开光刻机,且制程越先进,其重要性越凸出,占芯片制造总成本比例也越高,总体来看,光刻机的成本占总设备成本的30%。

没有EUV光刻机,就无法制造先进制程芯片。而目前EUV光刻孔径为0.33NA,最多制造3nm芯片。

随着芯片越来越精密,更高数值的孔径意味着更小的光线入射角度,也意味着能够用来制造尺寸更小、速度更快的芯片。如今,三星、台积电都希望通过获得下一代EUV光刻机,从而在未来2nm技术竞争上占据优势。

最先进的高数值孔径EUV光刻机,目前只有ASML能够生产。然而,光刻机设备开发难度很大,一年只能生产十几台。随着全球芯片短缺,ASML不得不延迟交付,产能有限,厂商们要买到,并不容易。

此次李在镕到访欧洲,主要目的之一就是到荷兰采购ASML下一代EUV光刻机。更早之前,英特尔CEO基辛格为了能追赶台积电、三星,不止是投资入股阿斯麦公司,还提早花高价订购EUV光刻机制造产能。

据ASML公布的数据,新的EXE:5000系列high-NA EUV光刻机,镜头数值孔径从0.33NA变为0.55NA,孔径大小增加了67%,有望实现8nm的分辨率。预计这种设备非常复杂、非常大且价格昂贵——每台的成本将超过4亿美元。

最后是新材料、新的封装互联技术。

其中,材料方面,二维材料是目前半导体行业所关注的重点。台积电此前曾提到,台积电正在研究包括二硫化钨(WuS2)和碳纳米管等二维材料。相比于当前的硅材料,二维材料能够更有效地移动电子,并让芯片实现更节能的计算,更适用于2nm及之后的先进制程。

封装互联方面,台积电推出新的系统整合晶片堆叠(TSMC-SoIC)互连技术,解决3D封装堆叠问题,到2035年前,台积电有望实现1μm以内的SoIC互连,从而提高芯片整体供电性能,降低整体电阻,避免受到功率密度提升和电源电压下降的影响。

面对台积电当时的风光,三星正苦苦追赶。为了抢在台积电之前完成3nm研发,三星芯片制造工艺直接跳过4纳米,从5nm上升到3nm。

如今,台积电、三星两家公司都争夺光刻机,选择非常激进的技术路线制造2nm。但三星的良率、功耗技术上一直是个大问题,尤其曾出现推迟发布的情况,2nm也可能虎头蛇尾。

有消息指,台积电有望成为全球第一家率先提供2nm制程代工服务的晶圆厂。

英特尔重拾晶圆代工业务

自从在7nm工艺上掉队之后,大家都认为曾经的王者intel,在工艺上已经追不上台积电、三星了,只能跟在后面,看别人表演了。

但英特尔可不服输,觉得既然自己的IDM模式,干不过台积电、三星的纯Foundry模式,那就是搞个IDM2.0计划出来,一边自己给自己造芯,一边学台积电、三星也搞Foundry的代工模式。

英特尔动作迅速,迅速拿出了产能来承接IC设计厂的订单,还并购了现成的、排名在全球Top10的晶圆代工企业高塔半导体。同时还公布了全新的工艺节点路径和名称,比如不再叫5nm、3nm等,而是改为intel7、intel4、intel20、intel18等。

而当台积电、三星表示今年要进入3nm,2024年左右进入2nm时,英特尔也表示,它的intel18,也就是其1.8nm也将提前于2024年下半年投产。

很明显,intel就是要挑战台积电、三星的领先地位,做到与这两大巨头的工艺制程上基本一致,再也不想落后了。

事实上,在英特尔公布的2022财年第一季度财报中,我们发现其晶圆代工业务相当亮眼,营收达到了2.83亿美元,较2021 年同期增长175%,排在全球第11位的样子。



这还是在没有算上高塔半导体营收的情况之下,要是算上高塔半导体的营收,英特尔在晶圆上面的营收,已经能够排在全球第7名了。

可以预料的是,接下来英特尔在晶圆代工业务上还会继续发力,再将高塔半导体的营收并表后,英特尔和台积电、三星相比,营收相差就不会太远了,最大的差距也就只体现在工艺上了。

再考虑到英特尔在不断的提升工艺,以及2024年要进入1.8nm的说法,可以想象的是英特尔的晶圆代工战,是全面开打了,就看英特尔这个曾经的王者,能不能“一雪前耻”,在工艺制程上进步迅速,追上甚至超过台积电、三星了。


总结

从台积电、三星、英特尔的对外发言看,其是非常乐意寻求外部合作,为各类企业代工芯片的。代工工艺的精进还需要量的支撑,而先进工艺的演进,则需要庞大的经验支持。

总体来看,当代晶圆代工之争愈发强劲,拼产能拼制程拼产业格局,各大企业招数层出不穷,未来市场格局将迎来何种变数,我们拭目以待。



Baidu
map